Design Automation Conference

Design Automation Conference

Design Automation Conference (Конференция по автоматизированному проектированию), или DAC, представляет собой сочетание технической конференции и выставки. DAC является старейшей и крупнейшей конференцией по автоматизированному проектированию электроники. Последние несколько лет конференция попеременно проходит в Сан-Диего, Анахайме и Сан-Франциско, обычно в июне.

Конференция финансируется рядом профессиональных сообществ: ACM-SIGDA, IEEE-CAS/CANDE/CEDA, IEEE-SSCS. Также в её организации принимают участие сотни добровольцев из компаний, производящих САПР, и академических кругов.

История

DAC зародилась как семинар по автоматизированному проектированию в 1964 году. С тех пор конференция проводится ежегодно. Вплоть до середины 70-х DAC имела секции по всем видам автоматизации проектирования, в том числе по механике и архитектуре. Впоследствии были оставлены только секции, связанные с проектированием электроники. С середины 70-х DAC стала не только технической конференцией, но и выставкой, что стало следствием просьбы нескольких компаний о выделении места для представления своей продукции. В течение нескольких последующих лет выставочная часть конференции стала основной. Благодаря наличию выставки в 2005 году DAC посетило около 5500 человек, что в 10 раз больше числа посетителей конференции по автоматизированному проектированию ICCAD, не предоставляющей места для выставки.

Конференции со схожей тематикой

  • International Conference on Computer-Aided Design (ICCAD) — международная конференция по автоматизированному проектированию.
  • Design Automation and Test in Europe (DATE) — конференция по автоматизации проектирования и тестирования в Европе.
  • Asia and South Pacific Design Automation Conference (ASPDAC) — азиатская и южно-тихоокенская конференция по автоматизированию.
  • International Symposium on Quality Electronic Design (ISQED) — международный симпозиум по качественному проектированию электроники.

Ссылки


Wikimedia Foundation. 2010.

Игры ⚽ Нужно решить контрольную?

Полезное


Смотреть что такое "Design Automation Conference" в других словарях:

  • Design Automation Conference — The Design Automation Conference, or DAC, is a combination of a technical conference and a trade show, both specializing in electronic design automation. DAC is the oldest and largest conference in electronic design automation, starting as the… …   Wikipedia

  • Asia and South Pacific Design Automation Conference — The Asia and South Pacific Design Automation Conference, or ASP DAC is a yearly conference on the topic of electronic design automation. It is typically held in late January in the Far East, as the name implies. It is sponsored by the IEEE… …   Wikipedia

  • Design Automation and Test in Europe — Design Automation and Test in Europe, or DATE is a yearly conference on the topic of electronic design automation. It is typically held in March or April of each year, alternating between France and Germany. It is sponsored by the SIGDA of the… …   Wikipedia

  • Design Automation Standards Committee — The Institute of Electrical and Electronics Engineers Design Automation Standards Committee, is a part of the IEEE Computer Society and the IEEE Standards Association. This group sponsors and develops standards under the policies of the IEEE. The …   Wikipedia

  • Electronic design automation — (EDA) is the category of tools for designing and producing electronic systems ranging from printed circuit boards (PCBs) to integrated circuits. This is sometimes referred to as ECAD (electronic computer aided design) or just CAD. (Printed… …   Wikipedia

  • Routing (electronic design automation) — Routing is a crucial step in the design of integrated circuits. It builds on a preceding step, called placement, which determines the location of each active element of an IC. Routing is then the process of addingall wires needed to properly… …   Wikipedia

  • Gateway Design Automation — Verilog HDL originated at Automated Integrated Design Systems (later renamed as Gateway Design Automation) in 1985. The company was privately held at that time by Dr. Prabhu Goel, the inventor of the PODEM test generation algorithm. [Goel s role… …   Wikipedia

  • Design flow (EDA) — Design flows are the explicit combination of electronic design automation tools to accomplish the design of an integrated circuit. Moore s law has driven the entire IC implementation RTL to GDSII design flows from one which uses primarily… …   Wikipedia

  • Special Interest Group on Design Automation — SIGDA, Association for Computing Machinery s Special Interest Group on Design Automation, is a professional development organization for the Electronic Design Automation (EDA) community. SIGDA is organized and operated exclusively for educational …   Wikipedia

  • IEEE Council on Electronic Design Automation — The IEEE Council on Electronic Design Automation, also called IEEE CEDA, or Council on EDA, or just CEDA, is an organizational unit of the IEEE. It was started since many of the member societies have a common interest in electronic design… …   Wikipedia


Поделиться ссылкой на выделенное

Прямая ссылка:
Нажмите правой клавишей мыши и выберите «Копировать ссылку»